TU-VHDL/Task8/arithmetic.vhdl
2025-02-10 20:28:13 +01:00

12 lines
557 B
VHDL

library IEEE;
use IEEE.std_logic_1164.all;
entity arithmetic is
port( I1 :in std_logic_vector(15-1 downto 0); -- Operand 1
I2 :in std_logic_vector(12-1 downto 0); -- Operand 2
O :out std_logic_vector(15-1 downto 0); -- Output
C :out std_logic; -- Carry Flag
V :out std_logic; -- Overflow Flag
VALID :out std_logic -- Flag to indicate if the solution is valid or not
);
end arithmetic;