TU-VHDL/Task3/counter.vhdl
2025-02-10 20:28:13 +01:00

15 lines
387 B
VHDL

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity counter is
port(
CLK : in std_logic;
RST : in std_logic;
Enable : in std_logic;
SyncLoadInput : in std_logic;
AsyncClear : in std_logic;
Input : in std_logic_vector((6-1) downto 0);
Output : out std_logic_vector((6-1) downto 0)
);
end counter;